简答题

一个异步串行发送器,发送具有8位数据位的字符,在系统中使用一位作偶校验,2个停止位。若每秒钟发送100个字符,它的波特率和位周期是多少?

正确答案

每个字符需要的发送位数是12位(数据位8位,校验位1位,停止位2位,起始位1位)。
每秒发送100个字符共1200位。因此波特率为1200波特,位周期≈833μs。

答案解析

相似试题
  • 异步串行传送的8位数为33H时,采用偶校验位1位,停止位1位,则串行口发送的幀信息为()。

    单选题查看答案

  • 一次实现16位并行数据传输需要16个数据信号线。进行32位数据的串行发送只用一个数据信号线就可以。

    判断题查看答案

  • 某系统中使可编程串行接口芯片8251A工作在异步方式,7位数据位,偶校验,2位停止位,分频系数为96,允许发送也允许接收,若已知其控制口地址为03FBH,试编写初始化程序。

    简答题查看答案

  • 串行异步接口的双向工作方式指的是在串行接口上可同时发送和接收串行数据。

    判断题查看答案

  • 串行异步接口在接收时是由()寄存器将串行数据转换成并行数据。在发送时,是由()寄存器将并行数据转换成串行数据。

    填空题查看答案

  • 某外设通过RS-232串行口与主机相连,采用异步通信方式。若传输速率1200波特,1位起始位、2未终止位、1位奇偶位、8位数据位。传输一个字节需要时间为多少?

    简答题查看答案

  • 在串行异步数据传送时,如果格式规定8位数据位,1位奇偶校验位,1位停止位,则一组异步数据总共有()位。

    单选题查看答案

  • 在异步串行的通信中若要传送扩展ASCII码,则异步串行码字符格式的第8位数据()。

    单选题查看答案

  • 串行异步通信规定传送数据的格式为1位起始位、8位数据位、无校验位、两位停止位。试画出传送数据25H的波形。

    简答题查看答案