单选题

在VHDL语言中,信号赋值语句使用的代入符是()

A=

B:=

C<=

D==

正确答案

来源:www.examk.com

答案解析

相似试题
  • 在VHDL语言中,变量的赋值符是()。

    单选题查看答案

  • 在VHDL语言中,ARCHITECTURE中的语句都是()执行的语句。

    单选题查看答案

  • 在VHDL程序中,以下4个部分,()可以有顺序执行语句。

    多选题查看答案

  • 在VHDL语言中,不同类型的数据是()的。

    单选题查看答案

  • 简述VHDL语言的主要优点。

    简答题查看答案

  • .在VHDL程序设计中,下面4个部分,()不是可编译的源设计单元。

    单选题查看答案

  • VHDL中的各种逻辑运算中,运算符()的优先级别最高。

    单选题查看答案

  • 结构体中的变量应在VHDL程序中()部分给予说明。

    单选题查看答案

  • 一个VHDL模块是否必须有一个实体和一个结构体?是否可以有多个实体和结构体?简述它们的作用。

    简答题查看答案