单选题

在VHDL中,含WAIT语句的进程PROCESS的括弧中()再加敏感信号,否则则是非法的。

A可以

B不能

C必须

D有时可以

正确答案

来源:www.examk.com

答案解析

相似试题
  • 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是()。

    单选题查看答案

  • 在VHDL的端口声明语句中,端口方向包括()、()、()和()。

    填空题查看答案

  • 在VHDL中,PROCESS结构内部是由()语句组成的。

    单选题查看答案

  • 在VHDL中,PROCESS本身是()语句。

    单选题查看答案

  • 在VHDL中,PROCESS本身是()语句。

    填空题查看答案

  • 在VHDL的端口声明语句中,用()声明端口为输入方向。

    单选题查看答案

  • 在VHDL中,可以用语句()表示检测clock下降沿。

    单选题查看答案

  • 在VHDL的端口声明语句中,用()声明端口为具有读功能的输出方向

    单选题查看答案

  • 在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。

    单选题查看答案